Mô phỏng và thực nghiệm bộ điều khiển tốc độ động cơ PMSM bằng phương pháp vector và thuật toán SVPWM dựa trên công nghệ FPGA

  • Nguyễn Vũ Quỳnh
  • Hoàng Thị Nga
  • Nguyễn Hoàng Huy
  • Lương Hoàng Sơn
Từ khóa: FPGA, Mô phỏng, Thực nghiệm, Điều khiển động cơ

Tóm tắt

Động cơ đồng bộ nam châm vĩnh cửu có hiệu suất cao vì thế được sử dụng rộng rãi trong công nghiệp. Bài báo này trình bày các bước thiết kế, mô phỏng bằng Simulink/Modelsim và thực nghiệm trên Kit DE2-70 bộ điều khiển tốc độ cho PMSM bằng phương pháp vector dựa trên công nghệ FPGA. Nội dung bài báo gồm 3 phần chính: Đầu tiên thuật toán SVPWM và phương pháp điều khiển vector được xây dựng và áp dụng; Tiếp theo, ngôn ngữ lập trình mô tả phần cứng được sử dụng để thực thi thuật toán điều khiển; Các bước mô phỏng chi tiết được xây dựng nhằm kiểm tra tính đúng đắn của từng phần thuật toán điều khiển. Toàn bộ thuật toán được đánh giá tính hiệu quả và độ chính xác lần nữa thông qua thực nghiệm trực tiếp trên kit FPGA. Cuối cùng kết quả mô phỏng và thực nghiệm được so sánh và thảo luận.

điểm /   đánh giá
Phát hành ngày
2024-03-12